Welcome![Sign In][Sign Up]
Location:
Search - vhdl code for hamming

Search list

[Other resourceVHDL范例

Description: 最高优先级编码器 8位相等比较器 三人表决器(三种不同的描述方式) 加法器描述 8位总线收发器:74245 (注2) 地址译码(for m68008) 多路选择器(使用select语句) LED七段译码 多路选择器(使用if-else语句) 双2-4译码器:74139 多路选择器(使用when-else语句) 二进制到BCD码转换 多路选择器 (使用case语句) 二进制到格雷码转换 双向总线(注2) 汉明纠错吗译码器 三态总线(注2) 汉明纠错吗编码器 解复用器 -highest priority encoder, compared to eight for phase three of the vote (the description of three different ways) Adder Description eight bus Transceivers : 74,245 (Note 2) address decoder (for m68008) Multiple choice (use select statement) LED paragraph 107 of decoding multiple choice ( use if-else statements) 2-4 dual decoder : over 74,139 road choice (use when-else statements) of the binary conversion BCD multiple choice (use case statement) binary Gray code conversion to a two-way bus (Note 2)? Hamming error correction decoder three-state Bus (Note 2)? Hamming error correction encoder demultiplexer
Platform: | Size: 42884 | Author: kerty | Hits:

[SCMVHDL范例

Description: 最高优先级编码器 8位相等比较器 三人表决器(三种不同的描述方式) 加法器描述 8位总线收发器:74245 (注2) 地址译码(for m68008) 多路选择器(使用select语句) LED七段译码 多路选择器(使用if-else语句) 双2-4译码器:74139 多路选择器(使用when-else语句) 二进制到BCD码转换 多路选择器 (使用case语句) 二进制到格雷码转换 双向总线(注2) 汉明纠错吗译码器 三态总线(注2) 汉明纠错吗编码器 解复用器 -highest priority encoder, compared to eight for phase three of the vote (the description of three different ways) Adder Description eight bus Transceivers : 74,245 (Note 2) address decoder (for m68008) Multiple choice (use select statement) LED paragraph 107 of decoding multiple choice ( use if-else statements) 2-4 dual decoder : over 74,139 road choice (use when-else statements) of the binary conversion BCD multiple choice (use case statement) binary Gray code conversion to a two-way bus (Note 2)? Hamming error correction decoder three-state Bus (Note 2)? Hamming error correction encoder demultiplexer
Platform: | Size: 43008 | Author: kerty | Hits:

[Embeded-SCM Develophammingencoding

Description: 1.汉明编码的硬件实现vhdl语言 2.测试用,简单明晰 3.内含有另一份c代码的汉明码纠错编码源代码-1. Hamming codes hardware vhdl two languages. Test, 3 simple clarity. Another containing a code c Hamming code error correction coding source code
Platform: | Size: 2048 | Author: 方周 | Hits:

[Windows Develophamming

Description: Hamming code generator for 4 bit
Platform: | Size: 3072 | Author: Digitalkurt | Hits:

[source in ebookHammingDecoder

Description: -- Hamming Decoder -- This Hamming decoder accepts an 8-bit Hamming code (produced by the encoder above) and performs single error correction and double error detection. -- download from: www.pld.com.cn & www.fpga.com.cn LIBRARY ieee USE ieee.std_logic_1164.ALL ENTITY hamdec IS PORT(hamin : IN BIT_VECTOR(0 TO 7) --d0 d1 d2 d3 p0 p1 p2 p4 dataout : OUT BIT_VECTOR(0 TO 3) --d0 d1 d2 d3 sec, ded, ne : OUT BIT) --diagnostic outputs END hamdec ARCHITECTURE ver1 OF hamdec IS BEGIN --- Hamming Decoder -- This Hamming decoder accepts an 8-bit Hamming code (produced by the encoder above) and performs single error correction and double error detection. -- download from: www.pld.com.cn & www.fpga.com.cn LIBRARY ieee USE ieee.std_logic_1164.ALL ENTITY hamdec IS PORT(hamin : IN BIT_VECTOR(0 TO 7) --d0 d1 d2 d3 p0 p1 p2 p4 dataout : OUT BIT_VECTOR(0 TO 3) --d0 d1 d2 d3 sec, ded, ne : OUT BIT) --diagnostic outputs END hamdec ARCHITECTURE ver1 OF hamdec IS BEGIN
Platform: | Size: 4096 | Author: djs | Hits:

[3G developHANMINGMA

Description: 7,4汉明码的编译码原理,用VHDL语言实现的,需要的请下载-Hamming code encoding and decoding of 7,4 principle, using VHDL language and the clear need to download
Platform: | Size: 1024 | Author: mayuan | Hits:

[VHDL-FPGA-VerilogRS_5_3_GF256

Description: 用于NAND FLASH CONTROLLER 中的 ecc 各个模块VHDL代码-NAND FLASH CONTROLLER for ecc modules in VHDL code
Platform: | Size: 197632 | Author: 陈佳宜 | Hits:

[VHDL-FPGA-Verilogddr2_hamenc64

Description: VHDL实现的64bit海明码编码模块。 可适用于 Xilinx FPGA, Altera FPGA。-VHDL Implement 64 bit Hamming Code (encode)
Platform: | Size: 1024 | Author: seiya | Hits:

[Home Personal applicationHDECODER

Description: code for hamming code
Platform: | Size: 7168 | Author: farid | Hits:

[matlabencode

Description: (7 4)汉明编码源程序,简单实用,可供大家下载,如有问题,望大家多多包含!-(74) Hamming code source, simple and practical, available for everyone to download and, if problems, hope you lot included!
Platform: | Size: 1024 | Author: lijun | Hits:

[Software Engineeringproject3

Description: hamming code for 12 bit with vhdl
Platform: | Size: 645120 | Author: roya sh | Hits:

[Communication-Mobilehamming_decoder

Description: VHDL编写的Hamming码的程序,可以正确解码--- This Hamming decoder accepts an 8-bit Hamming code and performs single error correction and double error detection.
Platform: | Size: 1024 | Author: 郑全 | Hits:

[VHDL-FPGA-VerilogEFY-HammingCode

Description: A vhdl source code for imlpementing hamming code
Platform: | Size: 214016 | Author: raja | Hits:

[VHDL-FPGA-VerilogDC

Description: 汉明码的解码电路,用VHDL实现,可以用于FPGA仿真-Hamming code decoding circuit, VHDL implementation, can be used for FPGA simulation
Platform: | Size: 2048 | Author: yyy | Hits:

CodeBus www.codebus.net